About: Tomasulo's algorithm     Goto   Sponge   NotDistinct   Permalink

An Entity of Type : owl:Thing, within Data Space : dbpedia.demo.openlinksw.com associated with source document(s)
QRcode icon
http://dbpedia.demo.openlinksw.com/describe/?url=http%3A%2F%2Fdbpedia.org%2Fresource%2FTomasulo%27s_algorithm&invfp=IFP_OFF&sas=SAME_AS_OFF

Tomasulo's algorithm is a computer architecture hardware algorithm for dynamic scheduling of instructions that allows out-of-order execution and enables more efficient use of multiple execution units. It was developed by Robert Tomasulo at IBM in 1967 and was first implemented in the IBM System/360 Model 91’s floating point unit. Robert Tomasulo received the Eckert–Mauchly Award in 1997 for his work on the algorithm.

AttributesValues
rdfs:label
  • Algorisme de Tomasulo (ca)
  • Tomasulo-Algorithmus (de)
  • Algoritmo de Tomasulo (es)
  • Algorithme de Tomasulo (fr)
  • Algoritmo di Tomasulo (it)
  • Tomasuloのアルゴリズム (ja)
  • Algoritmo de Tomasulo (pt)
  • Tomasulo's algorithm (en)
  • 托马苏洛算法 (zh)
  • Алгоритм Томасуло (uk)
rdfs:comment
  • Der Tomasulo-Algorithmus ist ein Algorithmus zur Implementierung von dynamischem Scheduling in Prozessoren. Er wurde von Robert Tomasulo bei IBM entwickelt – ursprünglich für die Gleitkommaeinheit des 360/91. (de)
  • Tomasulo のアルゴリズムとは、1967 年にIBMのRobert Tomasuloによって考案されたコンピュータハードウェアのためのアルゴリズムで、連続した複数の命令が互いの依存関係が解けるまで実行できないような状況で、順序を入れ替えることにより実行できるようにする (アウト・オブ・オーダー実行)ためのものである。このアルゴリズムは、IBM System/360 Model 91 の浮動小数点演算ユニットで最初に実装された。 このアルゴリズムは レジスタ・リネーミングを用いるという点で、CDC 6600のScoreboardingとは異なる。Scoreboardingは、書き込み後の書き込み (WAW) と 読み込み後の書き込み (WAR) によるハザードを、命令の実行を一時停止させることで解決するが、レジスタリネーミングでは命令を連続して発行し続けることが可能である。また、Tomasuloのアルゴリズムは計算結果を必要とするすべての Reservation Station に対してブロードキャストを行う (CDB) と呼ばれる仕組みを用いる。これによって、Scoreboardingを用いた場合には停止してしまうような命令列が、より多く並列実行可能になる。 Robert Tomasuloは、1997年このアルゴリズムによりエッカート・モークリー賞を受賞した。 (ja)
  • 托马苏洛算法(英語:Tomasulo algorithm)是IBM罗伯特·托马苏洛1967年所研发用来改善处理器乱序执行指令级并行性的硬件算法。 (zh)
  • L'algorisme Tomasulo és un algorisme de maquinari desenvolupat el 1967 per Robert Tomasulo d'IBM. Permet que instruccions seqüencials que normalment es quedarien retingudes per certes dependències s'executin no seqüencialement (execució fora d'ordre). Va ser implementat per primer cop per la unitat de coma flotant del computador IBM System/360 model 91. Robert Tomasulo va rebre el en 1997 pel seu algorisme. (ca)
  • El algoritmo de Tomasulo es un algoritmo de desarrollado por Robert Tomasulo, de IBM. Se diseñó para permitir a un procesador ejecutar instrucciones fuera de orden. Este algoritmo difiere del algoritmo de marcador (Scoreboard) en que este último no dispone de renombrado de registros. En su lugar, el algoritmo de Scoreboard (scoreboarding) resuelve los riesgos Escritura Después de Escritura (EDE o WAW) y Escritura Después de Lectura (EDL o WAR) deteniendo la ejecución, mientras que el algoritmo de Tomasulo permite el lanzamiento de dichas instrucciones. Además, el algoritmo de Tomasulo utiliza un bus de datos común en el que los valores calculados son enviados a todas las estaciones de reserva que los necesiten. Esto permite mejorar la ejecución paralela de instrucciones en situaciones en (es)
  • L’algorithme de Tomasulo est un algorithme facilitant le parallélisme au sein des processeurs mis au point en 1967 par . Cet algorithme, est l'une des implémentations possibles pour l'exécution dans le désordre : il trie les instructions de manière à traiter plus tard les instructions qui seraient normalement bloquées en raison de dépendances de données. Mise en place pour la première fois pour l'unité de calcul en virgule flottante de l'IBM 360 modèle 90. Robert Tomasulo a reçu le Prix Eckert-Mauchly en 1997 pour cet algorithme. (fr)
  • Tomasulo's algorithm is a computer architecture hardware algorithm for dynamic scheduling of instructions that allows out-of-order execution and enables more efficient use of multiple execution units. It was developed by Robert Tomasulo at IBM in 1967 and was first implemented in the IBM System/360 Model 91’s floating point unit. Robert Tomasulo received the Eckert–Mauchly Award in 1997 for his work on the algorithm. (en)
  • L'algoritmo di Tomasulo è un algoritmo sviluppato dal ricercatore dell'IBM Robert Tomasulo per permettere l'esecuzione fuori ordine delle istruzioni. La sua prima implementazione si è avuta nell'unità in virgola mobile del IBM 360/91. Robert Tomasulo ha ricevuto il premio Eckert-Mauchly nel 1997 per il suo algoritmo. (it)
  • Em arquitetura de computadores, o Algoritmo de Tomasulo é um algoritmo de hardware para distribuição dinâmica de tarefas, permitindo a execução simultânea de instruções com o uso de unidades de execução múltiplas. O algoritmo permite que a execução de uma instrução comece antes que a execução da instrução anterior seja concluída, o que é conhecido como execução fora-de-ordem(out-of-order execution). Foi desenvolvido por Robert Tomasulo na IBM em 1967 e foi implementado pela primeira vez em uma unidades de ponto flutuante de um mainframe IBM System/360 modelo 91. (pt)
  • Алгоритм Томасуло — алгоритм, який використовується в комп'ютерній архітектурі апаратного забезпечення, для динамічного планування команд, яке передбачає позачергове виконання, з метою ефективного використання функціональних блоків процесора. Алгоритм був розроблений Робертом Томасуло у 1967 році, коли він працював в IBM, і вперше реалізований в IBM System/360 Model 91 в блоці операцій з рухомою комою. У 1997 році Роберт Томасуло отримав нагороду Еккерта-Моклі за розробку алгоритму. (uk)
foaf:depiction
  • http://commons.wikimedia.org/wiki/Special:FilePath/Example_of_Tomasulo's_Algorithm.gif
  • http://commons.wikimedia.org/wiki/Special:FilePath/Tomasulo_Architecture.png
dcterms:subject
Wikipage page ID
Wikipage revision ID
Link from a Wikipage to another Wikipage
Link from a Wikipage to an external page
sameAs
dbp:wikiPageUsesTemplate
thumbnail
date
  • 2017-12-25 (xsd:date)
  • February 2017 (en)
reason
  • The word Tomasulo isn't even mentioned? (en)
url
has abstract
  • L'algorisme Tomasulo és un algorisme de maquinari desenvolupat el 1967 per Robert Tomasulo d'IBM. Permet que instruccions seqüencials que normalment es quedarien retingudes per certes dependències s'executin no seqüencialement (execució fora d'ordre). Va ser implementat per primer cop per la unitat de coma flotant del computador IBM System/360 model 91. Aquest algorisme es diferencia de l'algorisme marcador en el fet que fa servir . On el marcador resol riscos Write-after-Write (WAW) i Write-after-Read (WAR) retenint, el reanomenament de registres permet continuar el processament d'instruccions. L'algorisme Tomasulo també fa servir un bus de dades comú (CDB), en el que els valors calculats són emesos a totes les que poden necessitar-los. Això també permet executar paral·lelament instruccions que amb marcador podrien ser retingudes. Robert Tomasulo va rebre el en 1997 pel seu algorisme. (ca)
  • Der Tomasulo-Algorithmus ist ein Algorithmus zur Implementierung von dynamischem Scheduling in Prozessoren. Er wurde von Robert Tomasulo bei IBM entwickelt – ursprünglich für die Gleitkommaeinheit des 360/91. (de)
  • El algoritmo de Tomasulo es un algoritmo de desarrollado por Robert Tomasulo, de IBM. Se diseñó para permitir a un procesador ejecutar instrucciones fuera de orden. Este algoritmo difiere del algoritmo de marcador (Scoreboard) en que este último no dispone de renombrado de registros. En su lugar, el algoritmo de Scoreboard (scoreboarding) resuelve los riesgos Escritura Después de Escritura (EDE o WAW) y Escritura Después de Lectura (EDL o WAR) deteniendo la ejecución, mientras que el algoritmo de Tomasulo permite el lanzamiento de dichas instrucciones. Además, el algoritmo de Tomasulo utiliza un bus de datos común en el que los valores calculados son enviados a todas las estaciones de reserva que los necesiten. Esto permite mejorar la ejecución paralela de instrucciones en situaciones en las que el scoreboarding fallaría y provocaría la parada. Se implementó por primera vez en la unidad de punto flotante del procesador IBM360/91. En la actualidad, gran parte de los procesadores hacen uso de variaciones de este algoritmo para la planificación dinámica de instrucciones. (es)
  • L’algorithme de Tomasulo est un algorithme facilitant le parallélisme au sein des processeurs mis au point en 1967 par . Cet algorithme, est l'une des implémentations possibles pour l'exécution dans le désordre : il trie les instructions de manière à traiter plus tard les instructions qui seraient normalement bloquées en raison de dépendances de données. Mise en place pour la première fois pour l'unité de calcul en virgule flottante de l'IBM 360 modèle 90. Robert Tomasulo a reçu le Prix Eckert-Mauchly en 1997 pour cet algorithme. * Portail de l'informatique théorique * Portail de l’informatique (fr)
  • Tomasulo's algorithm is a computer architecture hardware algorithm for dynamic scheduling of instructions that allows out-of-order execution and enables more efficient use of multiple execution units. It was developed by Robert Tomasulo at IBM in 1967 and was first implemented in the IBM System/360 Model 91’s floating point unit. The major innovations of Tomasulo’s algorithm include register renaming in hardware, reservation stations for all execution units, and a common data bus (CDB) on which computed values broadcast to all reservation stations that may need them. These developments allow for improved parallel execution of instructions that would otherwise stall under the use of scoreboarding or other earlier algorithms. Robert Tomasulo received the Eckert–Mauchly Award in 1997 for his work on the algorithm. (en)
  • L'algoritmo di Tomasulo è un algoritmo sviluppato dal ricercatore dell'IBM Robert Tomasulo per permettere l'esecuzione fuori ordine delle istruzioni. La sua prima implementazione si è avuta nell'unità in virgola mobile del IBM 360/91. Questo algoritmo si differenzia dallo scoreboarding per l'utilizzo della rinominazione dei registri; mentre lo scoreboarding risolve le Write-after-Write (WAW) e le Write-after-Read (WAR) con gli stalli, l'algoritmo di Tomasulo permette l'esecuzione di altre istruzioni; inoltre l'algoritmo di Tomasulo prevede un bus comune per fornire i valori calcolati a tutte le reservation station. L'algoritmo migliora l'esecuzione parallela delle istruzioni e fornisce prestazioni migliori dello scoreboarding. Robert Tomasulo ha ricevuto il premio Eckert-Mauchly nel 1997 per il suo algoritmo. (it)
  • Tomasulo のアルゴリズムとは、1967 年にIBMのRobert Tomasuloによって考案されたコンピュータハードウェアのためのアルゴリズムで、連続した複数の命令が互いの依存関係が解けるまで実行できないような状況で、順序を入れ替えることにより実行できるようにする (アウト・オブ・オーダー実行)ためのものである。このアルゴリズムは、IBM System/360 Model 91 の浮動小数点演算ユニットで最初に実装された。 このアルゴリズムは レジスタ・リネーミングを用いるという点で、CDC 6600のScoreboardingとは異なる。Scoreboardingは、書き込み後の書き込み (WAW) と 読み込み後の書き込み (WAR) によるハザードを、命令の実行を一時停止させることで解決するが、レジスタリネーミングでは命令を連続して発行し続けることが可能である。また、Tomasuloのアルゴリズムは計算結果を必要とするすべての Reservation Station に対してブロードキャストを行う (CDB) と呼ばれる仕組みを用いる。これによって、Scoreboardingを用いた場合には停止してしまうような命令列が、より多く並列実行可能になる。 Robert Tomasuloは、1997年このアルゴリズムによりエッカート・モークリー賞を受賞した。 (ja)
  • Em arquitetura de computadores, o Algoritmo de Tomasulo é um algoritmo de hardware para distribuição dinâmica de tarefas, permitindo a execução simultânea de instruções com o uso de unidades de execução múltiplas. O algoritmo permite que a execução de uma instrução comece antes que a execução da instrução anterior seja concluída, o que é conhecido como execução fora-de-ordem(out-of-order execution). Foi desenvolvido por Robert Tomasulo na IBM em 1967 e foi implementado pela primeira vez em uma unidades de ponto flutuante de um mainframe IBM System/360 modelo 91. O Algoritmo de Tomasulo permitiu inúmeras inovações, como a renomeação de registradores em hardware, estações de reserva para todas as unidades de execução, e um barramento de dados comum (common data bus ou CBD) no qual os valores computados são enviados para todas as estações que podem precisar deles. Esses avanços permitiram um grande aumento de performance na execução paralela de instruções, que antes só seria possível por meio de um algoritmo de marcador(scoreboarding) ou outros algoritmos ultrapassados. É considerado um dos grandes avanços da engenharia e ciência da computação. Por ter desenvolvido o algoritmo, Robert Tomasulo recebeu, em 1997, o Prêmio Eckert–Mauchly. (pt)
  • 托马苏洛算法(英語:Tomasulo algorithm)是IBM罗伯特·托马苏洛1967年所研发用来改善处理器乱序执行指令级并行性的硬件算法。 (zh)
  • Алгоритм Томасуло — алгоритм, який використовується в комп'ютерній архітектурі апаратного забезпечення, для динамічного планування команд, яке передбачає позачергове виконання, з метою ефективного використання функціональних блоків процесора. Алгоритм був розроблений Робертом Томасуло у 1967 році, коли він працював в IBM, і вперше реалізований в IBM System/360 Model 91 в блоці операцій з рухомою комою. Головними нововведеннями алгоритму Томасуло є перейменування регістрів в апаратних засобах, для всіх функціональних блоків, і спільна шина даних (СШД), по якій обчислені значення синхронно передаються в усі блоки резервування, які можуть мати потребу в них. Ці зміни підвищили ефективність паралельного виконання інструкцій, виконання яких, при використанні методу або більш ранніх алгоритмів, призводили до зупинки. У 1997 році Роберт Томасуло отримав нагороду Еккерта-Моклі за розробку алгоритму. (uk)
prov:wasDerivedFrom
page length (characters) of wiki page
foaf:isPrimaryTopicOf
is Link from a Wikipage to another Wikipage of
Faceted Search & Find service v1.17_git139 as of Feb 29 2024


Alternative Linked Data Documents: ODE     Content Formats:   [cxml] [csv]     RDF   [text] [turtle] [ld+json] [rdf+json] [rdf+xml]     ODATA   [atom+xml] [odata+json]     Microdata   [microdata+json] [html]    About   
This material is Open Knowledge   W3C Semantic Web Technology [RDF Data] Valid XHTML + RDFa
OpenLink Virtuoso version 08.03.3330 as of Mar 19 2024, on Linux (x86_64-generic-linux-glibc212), Single-Server Edition (378 GB total memory, 60 GB memory in use)
Data on this page belongs to its respective rights holders.
Virtuoso Faceted Browser Copyright © 2009-2024 OpenLink Software