About: Micro-operation     Goto   Sponge   NotDistinct   Permalink

An Entity of Type : yago:ProgrammingLanguage106898352, within Data Space : dbpedia.demo.openlinksw.com associated with source document(s)
QRcode icon
http://dbpedia.demo.openlinksw.com/describe/?url=http%3A%2F%2Fdbpedia.org%2Fresource%2FMicro-operation

In computer central processing units, micro-operations (also known as micro-ops or μops, historically also as micro-actions) are detailed low-level instructions used in some designs to implement complex machine instructions (sometimes termed macro-instructions in this context).

AttributesValues
rdf:type
rdfs:label
  • Mikrobefehl (de)
  • Micro operazioni (it)
  • 마이크로 오퍼레이션 (ko)
  • Micro-operation (en)
rdfs:comment
  • 컴퓨터 중앙 처리 장치에서 마이크로 오퍼레이션(micro-operations, micro-ops, μops, 마이크로 조작, 마이크로 연산)은 일부 디자인에서 복잡한 기계어를 구현하기 위해 사용되는 세세한 저급 명령어이다. (이 문맥에서 매크로 명령이라고 부르기도 한다):8–9 일반적으로 마이크로 오퍼레이션은 하나 이상의 레지스터에 저장된 데이터의 기초적인 오퍼레이션을 수행하며, 여기에는 CPU 레지스터 간 또는 레지스터와 외부 버스 간 데이터 전송, 그리고 레지스터의 산술 또는 노리 오퍼레이션 수행이 포함된다. 일반 페치-디코드 실행 주기에서 매크로 명령의 각 단계는 실행 중에 분해되므로 CPU는 일련의 마이크로 오퍼레이션을 통해 결정하고 진행한다. 마이크로 오퍼레이션의 실행은 CPU의 제어 장치의 통제 하에 수행되며 이는 순서 재변경, 병합, 캐시 처리 등 다양한 최적화를 수행하는 동안 실행 중에 결정한다. (ko)
  • Der Mikrobefehl (auch Micro-op, µ-op, µ-Operation) ist eine elementare Anweisung zur Steuerung eines Prozessors. * Bei einem Prozessor mit CISC-Architektur sind Mikrobefehle Prozessor-interne Steuercodes im Mikroprogrammsteuerwerk; sie steuern und verschalten die verschiedenen Arbeitseinheiten (z. B. das Rechenwerk) im CPU-Kern und verschalten sie mit anderen Prozessorkomponenten, z. B. den Registern. Mehrere Mikrobefehle werden zu einem Mikrocodebefehlssatz zusammengefasst, der umgangssprachlich als Mikrocode eines Mikroprogrammsteuerwerks bezeichnet wird. Mitunter können einige Mikrobefehle direkt von außen angegeben werden; sie sind dann RISC-ähnliche Maschinenbefehle. * Bei einem Prozessor mit RISC-Architektur existiert kein Mikroprogrammsteuerwerk – die Maschinenbefehle werden direk (de)
  • In computer central processing units, micro-operations (also known as micro-ops or μops, historically also as micro-actions) are detailed low-level instructions used in some designs to implement complex machine instructions (sometimes termed macro-instructions in this context). (en)
  • Le micro operazioni (a volte chiamate micro-ops o μops) sono delle operazioni semplici di tipo RISC utilizzate da molti microprocessori con architettura CISC per implementare le operazioni complesse. Un tipico processore CISC fornisce molte istruzioni complesse che normalmente non vengono eseguite direttamente dalla logica cablata, ma che vengono spezzettate in istruzioni più elementari prima di passare alle unità di elaborazione. L'approccio iniziale era il microprogramma, ovvero una sequenza di microistruzioni più semplici memorizzate in una ROM: ad ogni istruzione, detta in tale contesto macroistruzione, corrispondeva una parte del microprogramma. I processori RISC hanno però dimostrato che un set ridotto di operazioni elementari può essere eseguito in modo molto più efficiente di un am (it)
foaf:depiction
  • http://commons.wikimedia.org/wiki/Special:FilePath/Micro-operations.svg
dcterms:subject
Wikipage page ID
Wikipage revision ID
Link from a Wikipage to another Wikipage
sameAs
dbp:wikiPageUsesTemplate
thumbnail
cs1-dates
  • y (en)
date
  • May 2020 (en)
has abstract
  • Der Mikrobefehl (auch Micro-op, µ-op, µ-Operation) ist eine elementare Anweisung zur Steuerung eines Prozessors. * Bei einem Prozessor mit CISC-Architektur sind Mikrobefehle Prozessor-interne Steuercodes im Mikroprogrammsteuerwerk; sie steuern und verschalten die verschiedenen Arbeitseinheiten (z. B. das Rechenwerk) im CPU-Kern und verschalten sie mit anderen Prozessorkomponenten, z. B. den Registern. Mehrere Mikrobefehle werden zu einem Mikrocodebefehlssatz zusammengefasst, der umgangssprachlich als Mikrocode eines Mikroprogrammsteuerwerks bezeichnet wird. Mitunter können einige Mikrobefehle direkt von außen angegeben werden; sie sind dann RISC-ähnliche Maschinenbefehle. * Bei einem Prozessor mit RISC-Architektur existiert kein Mikroprogrammsteuerwerk – die Maschinenbefehle werden direkt (oder mithilfe einer minimalen kombinatorischen Schaltung) für die interne Verschaltung der Prozessorkomponenten verwendet. Hier fallen somit µ-Op und Maschinencode zusammen. Im weiteren Artikel wird einzig auf den Mikrocode des Mikroprogrammsteuerwerks eines CISC-Prozessors eingegangen. (de)
  • In computer central processing units, micro-operations (also known as micro-ops or μops, historically also as micro-actions) are detailed low-level instructions used in some designs to implement complex machine instructions (sometimes termed macro-instructions in this context). Usually, micro-operations perform basic operations on data stored in one or more registers, including transferring data between registers or between registers and external buses of the central processing unit (CPU), and performing arithmetic or logical operations on registers. In a typical fetch-decode-execute cycle, each step of a macro-instruction is decomposed during its execution so the CPU determines and steps through a series of micro-operations. The execution of micro-operations is performed under control of the CPU's control unit, which decides on their execution while performing various optimizations such as reordering, fusion and caching. (en)
  • Le micro operazioni (a volte chiamate micro-ops o μops) sono delle operazioni semplici di tipo RISC utilizzate da molti microprocessori con architettura CISC per implementare le operazioni complesse. Un tipico processore CISC fornisce molte istruzioni complesse che normalmente non vengono eseguite direttamente dalla logica cablata, ma che vengono spezzettate in istruzioni più elementari prima di passare alle unità di elaborazione. L'approccio iniziale era il microprogramma, ovvero una sequenza di microistruzioni più semplici memorizzate in una ROM: ad ogni istruzione, detta in tale contesto macroistruzione, corrispondeva una parte del microprogramma. I processori RISC hanno però dimostrato che un set ridotto di operazioni elementari può essere eseguito in modo molto più efficiente di un ampio set di operazioni più complesse potendo sfruttare un più elevato grado di parallelismo. Come conseguenza le moderne architetture CISC si sono convertite alla logica RISC, ma l'hanno dovuto fare con un approccio a due livelli per preservare la compatibilità con il passato. Le istruzioni CISC vengono ancora tradotte in istruzioni più semplici ma anziché avere una rigida sequenza di microistruzioni che controllano la CPU direttamente dal microprogramma nella ROM, abbiamo delle micro operazioni che vengono accodate in sequenze piuttosto lunghe prima di essere eseguite. Questo significa che le fasi di prelievo e decodifica possono essere più distaccate dalle unità di esecuzione di quanto non si possa fare in un più tradizionale progetto microprogrammato. Questo permette un grado di libertà maggiore per quanto riguarda l'ordine di esecuzione, quindi un maggiore parallelismo e migliori prestazioni. Per migliorare le prestazioni, molti processori memorizzano le micro operazioni direttamente in alcune cache, in modo da dover evitare la fase di decodifica nel caso il processore esegua più volte la stessa sezione di codice. La Execution Trace Cache presente nell'architettura Intel NetBurst è un esempio di cache di questo tipo. La dimensione di queste cache spesso viene indicata contando il numero di micro operazioni memorizzabili. (it)
  • 컴퓨터 중앙 처리 장치에서 마이크로 오퍼레이션(micro-operations, micro-ops, μops, 마이크로 조작, 마이크로 연산)은 일부 디자인에서 복잡한 기계어를 구현하기 위해 사용되는 세세한 저급 명령어이다. (이 문맥에서 매크로 명령이라고 부르기도 한다):8–9 일반적으로 마이크로 오퍼레이션은 하나 이상의 레지스터에 저장된 데이터의 기초적인 오퍼레이션을 수행하며, 여기에는 CPU 레지스터 간 또는 레지스터와 외부 버스 간 데이터 전송, 그리고 레지스터의 산술 또는 노리 오퍼레이션 수행이 포함된다. 일반 페치-디코드 실행 주기에서 매크로 명령의 각 단계는 실행 중에 분해되므로 CPU는 일련의 마이크로 오퍼레이션을 통해 결정하고 진행한다. 마이크로 오퍼레이션의 실행은 CPU의 제어 장치의 통제 하에 수행되며 이는 순서 재변경, 병합, 캐시 처리 등 다양한 최적화를 수행하는 동안 실행 중에 결정한다. (ko)
gold:hypernym
prov:wasDerivedFrom
page length (characters) of wiki page
foaf:isPrimaryTopicOf
is Link from a Wikipage to another Wikipage of
Faceted Search & Find service v1.17_git139 as of Feb 29 2024


Alternative Linked Data Documents: ODE     Content Formats:   [cxml] [csv]     RDF   [text] [turtle] [ld+json] [rdf+json] [rdf+xml]     ODATA   [atom+xml] [odata+json]     Microdata   [microdata+json] [html]    About   
This material is Open Knowledge   W3C Semantic Web Technology [RDF Data] Valid XHTML + RDFa
OpenLink Virtuoso version 08.03.3330 as of Mar 19 2024, on Linux (x86_64-generic-linux-glibc212), Single-Server Edition (378 GB total memory, 47 GB memory in use)
Data on this page belongs to its respective rights holders.
Virtuoso Faceted Browser Copyright © 2009-2024 OpenLink Software