. . . . "In informatica, il tampone di riordino (Re-order buffer o ROB in inglese) \u00E8 un tampone usato dall'algoritmo di Tomasulo per implementare l'esecuzione fuori ordine delle istruzioni nei microprocessori moderni. Il buffer tiene traccia dell'ordine reale dell'esecuzione delle istruzioni e, a mano a mano che le istruzioni sono eseguite dalle unit\u00E0 funzionali, preleva i dati elaborati e li memorizza nei registri del processore seguendo l'ordine logico del programma. Dopo aver memorizzato nei registri il risultato dell'istruzione il sistema effettua il commit dell'istruzione cancellandola dal buffer ROB. Questo buffer permette di garantire che l'esecuzione logica dei programmi venga preservata anche nel caso di esecuzione fuori ordine. Inoltre il buffer permette di effettuare un veloce rollback nel caso di errori di predizione dell'unit\u00E0 di predizione dei salti permettendo di eliminare semplicemente le istruzioni eseguite erroneamente."@it . "Re-order buffer"@en . . . "\u5728Tomasulo\u7B97\u6CD5\u4E2D\uFF0C\u91CD\u6392\u5E8F\u7F13\u51B2\u533A\uFF08\u82F1\u8A9E\uFF1Are-order buffer, ROB\uFF09)\u53EF\u4EE5\u4F7F\u6307\u4EE4\u5728\u4E71\u5E8F\u6267\u884C\uFF0C\u4E4B\u540E\u6309\u7167\u539F\u6709\u987A\u5E8F\u63D0\u4EA4\u3002 \u5728\u6700\u521D\u7684\u52A8\u6001\u8C03\u5EA6\u4E71\u5E8F\u6267\u884C\u673A\u5236\u4E2D\uFF0C\u4E3B\u8981\u5206\u4E09\u4E2A\u9636\u6BB5\uFF1A\u53D1\u5C04\uFF08issue\uFF09\u3001\u6267\u884C\uFF08execute\uFF09\u548C\u5199\u7ED3\u679C\uFF08write Result\uFF09\u3002Tomasulo\u7B97\u6CD5\u5219\u589E\u52A0\u4E86\u4E00\u4E2A\u88AB\u79F0\u4E3A\u201C\u63D0\u4EA4\uFF08Commit\uFF09\u201D\u7684\u8FC7\u7A0B\u3002\u5728\u5199\u7ED3\u679C\u9636\u6BB5\uFF0C\u6307\u4EE4\u7684\u7ED3\u679C\u6682\u65F6\u88AB\u5B58\u50A8\u5728\u91CD\u6392\u5E8F\u7F13\u51B2\u533A\u4E2D\u3002\u968F\u540E\uFF0C\u6307\u4EE4\u6267\u884C\u7684\u7ED3\u679C\u518D\u88AB\u50A8\u5B58\u5728\u5BC4\u5B58\u5668\u6216\u4E3B\u5B58\u50A8\u5668\u4E2D\u3002\u5982\u679C\u5176\u4ED6\u6307\u4EE4\u6025\u5207\u9700\u8981\u6B64\u7ED3\u679C\uFF0C\u90A3\u4E48\u91CD\u6392\u5E8F\u7F13\u51B2\u533A\u53EF\u4EE5\u76F4\u63A5\u4E3A\u5176\u4F20\u8F93\u6240\u9700\u7684\u6570\u636E\u3002"@zh . "A re-order buffer (ROB) is a hardware unit used in an extension to the Tomasulo algorithm to support out-of-order and speculative instruction execution. The extension forces instructions to be committed in-order. The buffer is a circular buffer (to provide a FIFO instruction ordering queue) implemented as an array/vector (which allows recording of results against instructions as they complete out of order). There are three stages to the Tomasulo algorithm: \"Issue\", \"Execute\", \"Write Result\". In an extension to the algorithm, there is an additional \"Commit\" stage. During the Commit stage, instruction results are stored in a register or memory. The \"Write Result\" stage is modified to place results in the re-order buffer. Each instruction is tagged in the reservation station with its index in the ROB for this purpose. The contents of the buffer are used for data dependencies of other instructions scheduled in the buffer. The head of the buffer will be committed once its result is valid. Its dependencies will have already been calculated and committed since they must be ahead of the instruction in the buffer though not necessarily adjacent to it. Data dependencies between instructions would normally stall the pipeline while an instruction waits for its dependent values. The ROB allows the pipeline to continue to process other instructions while ensuring results are committed in order to prevent data hazards such as read ahead of write (RAW), write ahead of read (WAR) and write ahead of write (WAW). There are additional fields in every entry of the buffer to support the extended algorithm: \n* Instruction type (jump, store to memory, store to register) \n* Destination (either memory address or register number) \n* Result (value that goes to destination or indication of a (un)successful jump) \n* Validity (does the result already exist?) The consequences of the re-order buffer include precise exceptions and easy rollback control of target address mis-predictions (branch or jump). When jump prediction is not correct or a nonrecoverable exception is encountered in the instruction stream, the ROB is cleared of all instructions (by setting the circular queue tail to the head) and reservation stations are re-initialized."@en . . . . . . . "A re-order buffer (ROB) is a hardware unit used in an extension to the Tomasulo algorithm to support out-of-order and speculative instruction execution. The extension forces instructions to be committed in-order. The buffer is a circular buffer (to provide a FIFO instruction ordering queue) implemented as an array/vector (which allows recording of results against instructions as they complete out of order). There are additional fields in every entry of the buffer to support the extended algorithm:"@en . "1124849963"^^ . . . . . . "2905"^^ . . . . . "\u5728Tomasulo\u7B97\u6CD5\u4E2D\uFF0C\u91CD\u6392\u5E8F\u7F13\u51B2\u533A\uFF08\u82F1\u8A9E\uFF1Are-order buffer, ROB\uFF09)\u53EF\u4EE5\u4F7F\u6307\u4EE4\u5728\u4E71\u5E8F\u6267\u884C\uFF0C\u4E4B\u540E\u6309\u7167\u539F\u6709\u987A\u5E8F\u63D0\u4EA4\u3002 \u5728\u6700\u521D\u7684\u52A8\u6001\u8C03\u5EA6\u4E71\u5E8F\u6267\u884C\u673A\u5236\u4E2D\uFF0C\u4E3B\u8981\u5206\u4E09\u4E2A\u9636\u6BB5\uFF1A\u53D1\u5C04\uFF08issue\uFF09\u3001\u6267\u884C\uFF08execute\uFF09\u548C\u5199\u7ED3\u679C\uFF08write Result\uFF09\u3002Tomasulo\u7B97\u6CD5\u5219\u589E\u52A0\u4E86\u4E00\u4E2A\u88AB\u79F0\u4E3A\u201C\u63D0\u4EA4\uFF08Commit\uFF09\u201D\u7684\u8FC7\u7A0B\u3002\u5728\u5199\u7ED3\u679C\u9636\u6BB5\uFF0C\u6307\u4EE4\u7684\u7ED3\u679C\u6682\u65F6\u88AB\u5B58\u50A8\u5728\u91CD\u6392\u5E8F\u7F13\u51B2\u533A\u4E2D\u3002\u968F\u540E\uFF0C\u6307\u4EE4\u6267\u884C\u7684\u7ED3\u679C\u518D\u88AB\u50A8\u5B58\u5728\u5BC4\u5B58\u5668\u6216\u4E3B\u5B58\u50A8\u5668\u4E2D\u3002\u5982\u679C\u5176\u4ED6\u6307\u4EE4\u6025\u5207\u9700\u8981\u6B64\u7ED3\u679C\uFF0C\u90A3\u4E48\u91CD\u6392\u5E8F\u7F13\u51B2\u533A\u53EF\u4EE5\u76F4\u63A5\u4E3A\u5176\u4F20\u8F93\u6240\u9700\u7684\u6570\u636E\u3002"@zh . . "\u91CD\u6392\u5E8F\u7F13\u51B2\u533A"@zh . . . . . . "Buffer di riordino"@it . . "In informatica, il tampone di riordino (Re-order buffer o ROB in inglese) \u00E8 un tampone usato dall'algoritmo di Tomasulo per implementare l'esecuzione fuori ordine delle istruzioni nei microprocessori moderni. Il buffer tiene traccia dell'ordine reale dell'esecuzione delle istruzioni e, a mano a mano che le istruzioni sono eseguite dalle unit\u00E0 funzionali, preleva i dati elaborati e li memorizza nei registri del processore seguendo l'ordine logico del programma. Dopo aver memorizzato nei registri il risultato dell'istruzione il sistema effettua il commit dell'istruzione cancellandola dal buffer ROB."@it . "390468"^^ . .